F-Tile体系结构和PMA和FEC Direct PHY IP用户指南

ID 683872
日期 1/24/2024
Public
文档目录

3.3.7. 示例设计生成

F-Tile PMA/FEC Direct PHY Intel® FPGA IP参数编辑器包括Generate Example Design功能,用于轻松创建,生成和仿真PMA/FEC direct模式示例设计。

IP Parameter Editor中的Example Design选项中所示,您可以从四个Example Design选项中选择用于生成的选项。

图 70. IP Parameter Editor中的Example Design选项

这些示例设计支持目标器件的生成、编译和仿真流程。从Intel Quartus Prime软件版本22.1开始,对示例设计的硬件支持是在英特尔Agilex 7 I-Series Transceiver-SoC开发套件中使能的。以下Example Design Options当前是可用的:

表 37.  示例设计生成选项
示例设计选项 等同的预置设置 说明
FHT NRZ 25G 1 PMA lane RSFEC 272/258 FHT_NRZ_25G_1_PMA_Lane_RSFEC_272_258_ED 1个在RS-FEC 272/258模式下以25.78125 Gbps运行的PMA FHT NRZ通道。
FGT NRZ 50G 2 PMA lanes RSFEC 528/514 FGT_NRZ_50G_2_PMA_Lanes_RSFEC_528_514_ED 2个在RS-FEC 528/514模式下以25.78125 Gbps运行的PMA FGT NRZ通道。
FHT PAM4 4 400G 4 PMA lanes RSFEC 544/514 FHT_PAM4_400G_4_PMA_lanes_RSFEC_544_514_ED 4个在RS-FEC 544/514模式下以106.25 Gbps运行的PMA FHT PAM4通道。
FGT NRZ 50G 2 PMA Lanes Custom Cadence FGT_NRZ_50G_2_PMA_Lanes_Custom_Cadence_ED

2个在custom cadence clocking模式下以25.78125 Gbps运行的PMA FGT NRZ通道。

在custom cadence clocking模式下,系统PLL对PMA的数字数据路径(也就是,F-tile接口FIFO和内核接口FIFO)提供时钟。PMA模块和PMA接口FIF由PMA clockout提供时钟。

Example Design Options等同于某些预置设置,如示例设计生成选项中所述。若要查看每个预置的IP参数设置,请参考 F-Tile PMA/FEC Direct PHY Intel® FPGA IP Available Parameter Presets。或者,您可以在IP parameter editor中右键点击一个预置(preset),然后点击Show Preset Settings,或者点击Apply preset来应用此预置的设置。

图 71. Show Preset Settings

如果您选择了四个Example Design Options中的任何一个,但随后在GUI中更改了F-Tile PMA/FEC Direct PHY Intel® FPGA IP设置,那么生成的示例设计不会遵循F-Tile PMA/FEC Direct PHY Intel® FPGA IP已更改的设置。示例设计生成仅使用Example Design Generation Options中列出的Example Design Options。您对F-Tile PMA/FEC Direct PHY Intel® FPGA IP设置进行的其他变更将不会在示例设计生成期间生效。

F-Tile PMA/FEC Direct PHY Intel® FPGA IPExample Design选项卡使您能够选择预定义的RS-FEC选项对一个示例设计进行配置,如下图所示。

图 72.  F-Tile PMA/FEC Direct PHY Intel® FPGA IP中的RS-FEC示例设计
F-Tile PMA/FEC Direct PHY Intel® FPGA IP中有三个可用的RS-FEC示例设计:
  • FHT NRZ 25G 1 PMA Lane RSFEC 272/25
  • FGT NRZ 50G 2 PMA Lanes RSFEC 528/514
  • FHT PAM4 4 400G 4 PMA Lanes RSFEC 544/514
所有示例设计都遵循FEC direct模式的配置选项,如接下来的部分中所述:
请按照以下步骤生成一个示例设计:
  1. 找到F-Tile PMA/FEC Direct PHY Intel® FPGA IP中的Example Design选项卡。
  2. 从下拉菜单中选择一个示例设计。如果您选择None,那么您不能生成示例设计。
  3. 点击Acknowledgment:选项框。此选项将提醒您仅生成在下拉菜单中指定的示例设计。您指定的其他IP参数设置在示例设计生成中都无效。如果您不选中acknowledgment选项框,那么您不能生成示例设计。
  4. 确保完成步骤2和步骤3,然后点击Generate Example Design

点击Generate Example Design将完成Compiler的IP GenerationSupport-logic Generation阶段。在以下位置生成一个示例设计文件夹,其中包括Intel Quartus Prime工程(.qpf),设置(.qsf)和IP文件,仿真和测试台文件:

<Project Folder>/<directphy_f_0_example_design/example_design>

Compiler读取示例设计.qsf文件,此文件包含PMA参考时钟和TX和RX高速串行管脚位置分配。

为了降低实时仿真时长,示例设计测试台使用Fast Sim模型。此模型是通过仿真运行脚本中的宏进行使能的。使能Fast Sim模型的语法如下:

+define+IP7581SERDES_UX_SIMSPEED

点击Generate Example Design按钮之后,在默认情况下,此宏在示例设计仿真脚本中使能。

当您仿真F-Tile PMA/FEC Direct PHY Intel® FPGA IP设计时,您可以使用Fast Sim模型来缩短仿真时间。然而,为了使用Fast Sim模型,请确保您设计中布局在同一F-tile中的所有IP都支持Fast Sim模式。例如:如果您有PMA direct模式设计以及不支持 Fast Sim模式的其他IP,并将它们布局在同一F-tile中,那么您可能会遇到PMA direct设计的仿真错误。
注: 当您使用FGT cascade模式,FGT dual simplex模式时,或者当您使用FHT PMA时,此宏不可用。

示例设计仿真

请使用以下命令在 VCS* VCS* MX ModelSim* 或者 Xcelium* 仿真器中仿真示例设计。example_design/rtl目录中的RTL文件和仿真文件位于example_design/testbench目录中。
  • 若要使用 VCS* 进行仿真,需要打开example_design/testbench目录,然后使用shell脚本启动仿真:
    sh run_vcs.sh
  • 若要使用 VCS* MX进行仿真,需要打开example_design/testbench目录,然后使用shell脚本启动仿真:
    sh run_vcsmx.sh
  • 若要使用 ModelSim* 进行仿真,需要打开example_design/testbench目录,然后使用以下命令启动仿真:
    vsim -c -do run_vsim.tcl
  • 若要使用 Xcelium* 进行仿真,需要打开example_design/testbench目录,然后使用shell脚本启动仿真:
    sh run_xcelium.sh
  • 启动waveform viewer来查看仿真结果。
Intel Quartus Prime软件版本21.3开始,示例设计支持VHDL with VCS* MX ModelSim* 仿真器。