Intel® Quartus® Prime Pro Edition: 20.2 软件和器件支持发布笔记

ID 683706
日期 6/22/2020
Public

1.8. EDA接口信息

表 8.  支持 Intel® Quartus® Prime Pro Edition软件发布20.2的综合工具(Synthesis Tool)
综合工具 版本
Mentor Graphics* Precision* 通常在 Intel® Quartus® Prime软件发布之后,才发布支持 Intel® Quartus® Prime软件的 Mentor Graphics* Precision*版本。请联络Mentor Graphics获得支持 Intel® Quartus® Prime Pro Edition软件20.2 Mentor Graphics* Precision*版本。
Synopsys* Synplify*, Synplify Pro*, and Synplify* Premier 通常在 Intel® Quartus® Prime软件发布之后,才发布支持该 Intel® Quartus® Prime软件的Synopsys* Synplify*,Synplify Pro*和Synplify* Premier版本。请联络Synopsys获得支持 Intel® Quartus® Prime Pro Edition软件20.2 Synopsys* Synplify*,Synplify Pro*和Synplify* Premier版本。
表 9.  支持 Intel® Quartus® Prime Pro Edition软件发布20.2的仿真工具以下仿真工具支持RTL和功能性门级仿真。
仿真工具 版本

Aldec* Active-HDL*

10.5 (仅Windows 32-bit)

Aldec* Riviera-PRO*

2019.10 (仅64-bit )

Cadence* Incisive* Enterprise Simulator (IES)

15.20 (仅64-bit Linux)
Cadence* Xcelium* Parallel Logic Simulation 19.09(仅64-bit Linux)

Mentor Graphics* ModelSim* PE

2019.2(仅Windows 32-bit)

Mentor Graphics* ModelSim* SE

2020.1(仅64-bit)

Mentor Graphics* ModelSim*-Intel® FPGA Edition*

2020.1(仅32-bit)

Mentor Graphics* Questa* Advanced Simulator

2020.1(仅64-bit)

Synopsys* VCS*和VCS* MX

P-2019.06(仅64-bit Linux)

*Mentor Graphics* ModelSim*-Intel® FPGA Edition要求许可守护程序的版本为11.16.4.0(或更高)。可从Intel FPGA License Daemon Downloads网页获得许可守护程序

运行针对Mentor Graphics* ModelSim*-Intel® FPGA Edition 2020.1的系统支持(需要32-bit库)

  • Windows 10 (64-bit)
  • Red Hat Enterprise Linux 6 (64-bit)
  • Red Hat Enterprise Linux 7 (64-bit)
  • Red Hat Enterprise Linux 11 (64-bit)
  • Red Hat Enterprise Linux 12 (64-bit)